Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

symbolator not working with sphinx 4.x #17

Open
oholimoli opened this issue Jan 6, 2022 · 1 comment
Open

symbolator not working with sphinx 4.x #17

oholimoli opened this issue Jan 6, 2022 · 1 comment

Comments

@oholimoli
Copy link

Symbolator is not working with Sphinx 4.x.

>>> import symbolator_sphinx
Traceback (most recent call last):
  File "<stdin>", line 1, in <module>
  File "/usr/local/lib/python3.8/site-packages/symbolator_sphinx/__init__.py", line 1, in <module>
    from .symbolator_sphinx import *
  File "/usr/local/lib/python3.8/site-packages/symbolator_sphinx/symbolator_sphinx.py", line 33, in <module>
    from sphinx.util.osutil import ensuredir, ENOENT, EPIPE, EINVAL
ImportError: cannot import name 'ENOENT' from 'sphinx.util.osutil' (/usr/local/lib/python3.8/site-packages/sphinx/util/osutil.py)

It should be possible to fix this error.

The line in: https://github.com/kevinpt/symbolator/blob/master/symbolator_sphinx/symbolator_sphinx.py#L33

must be changed to: from errno import ENOENT, EPIPE, EINVAL

missinglinkelectronics/sphinxcontrib-svg2pdfconverter@252a0b0

@Paebbels
Copy link

Paebbels commented Jan 6, 2022

Maybe the fork https://github.com/hdl/symbolator can help you.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants