Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Generating complete schematic out of VHDL files #7

Open
pidgeon777 opened this issue Mar 20, 2019 · 2 comments
Open

Generating complete schematic out of VHDL files #7

pidgeon777 opened this issue Mar 20, 2019 · 2 comments

Comments

@pidgeon777
Copy link

Hello, do you think one day symbolator could be used to parse one or more VHDL files to generate a schematic of the instanced components/wires?

@pidgeon777 pidgeon777 changed the title Generating completing schematic Generating complete schematic out of VHDL files Mar 20, 2019
@nobodywasishere
Copy link

You may be looking to use something like netlistsvg. I wrote a blog post on how to generate blog diagrams from VHDL using the open source FPGA toolchain here.

@mithro
Copy link

mithro commented Apr 9, 2021

If you are using Sphinx, you might also want to consider https://sphinxcontrib-hdl-diagrams.readthedocs.io/en/latest/

zebreus pushed a commit to zebreus/symbolator that referenced this issue Feb 6, 2023
Make symbolator_sphinx work with newer sphinx verions
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

3 participants