{"payload":{"pageCount":1,"repositories":[{"type":"Public","name":"prjxray","owner":"f4pga","isFork":false,"description":"Documenting the Xilinx 7-series bit-stream format.","allTopics":["toolchain","tools","xilinx","vivado","fuzzer","xilinx-fpga","bitstream","artix7","artix","kintex7","symbiflow","fpga"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":32,"issueCount":115,"starsCount":747,"forksCount":150,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-08T17:22:22.297Z"}},{"type":"Public","name":"f4pga","owner":"f4pga","isFork":true,"description":"Documentation for F4PGA","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":1,"issueCount":0,"starsCount":21,"forksCount":45,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-10-03T01:09:47.064Z"}},{"type":"Public","name":"prjtrellis","owner":"f4pga","isFork":true,"description":"Documenting the Lattice ECP5 bit-stream format.","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":1,"issueCount":0,"starsCount":48,"forksCount":86,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-05-26T19:43:39.641Z"}},{"type":"Public","name":"actions","owner":"f4pga","isFork":false,"description":"GitHub Actions to be reused in CI workflows","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":1,"issueCount":7,"starsCount":1,"forksCount":6,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-11-21T21:21:57.374Z"}},{"type":"Public","name":"edalize","owner":"f4pga","isFork":true,"description":"An abstraction library for interfacing EDA tools","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":1,"issueCount":2,"starsCount":2,"forksCount":181,"license":"BSD 2-Clause \"Simplified\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-10-14T07:42:09.272Z"}},{"type":"Public","name":"icestorm","owner":"f4pga","isFork":true,"description":"Project IceStorm - Lattice iCE40 FPGAs Bitstream Documentaion (Reverse Engineered)","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":32,"forksCount":223,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-01-22T19:23:48.135Z"}},{"type":"Public","name":"symbiflow-xc7z-automatic-tester","owner":"f4pga","isFork":false,"description":"Tool for automatically testing FPGA designs using a Zynq Series 7 board.","allTopics":["testing","zynq","testingbot","symbiflow"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":6,"forksCount":5,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-04-22T15:21:08.661Z"}}],"repositoryCount":7,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}