{"payload":{"pageCount":1,"repositories":[{"type":"Public","name":"f4pga-arch-defs","owner":"f4pga","isFork":false,"description":"FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.","allTopics":["python","documentation","sphinx","primitives","synthesis","lattice","hdl","xilinx-fpga","ice40","artix7","artix","vpr","kintex7","architecture-definitions","verilog-simulator","symbiflow","verilog-simulations","toolchain","fpga","verilog"],"primaryLanguage":{"name":"Jupyter Notebook","color":"#DA5B0B"},"pullRequestCount":62,"issueCount":301,"starsCount":250,"forksCount":108,"license":"ISC License","participation":[1,2,1,1,1,1,1,1,0,1,0,0,0,1,0,1,0,1,1,1,1,0,0,0,1,1,2,2,0,2,0,1,2,1,1,0,1,1,1,1,1,1,1,1,1,2,1,1,1,1,0,1],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-10T10:46:43.982Z"}},{"type":"Public","name":"prjxray","owner":"f4pga","isFork":false,"description":"Documenting the Xilinx 7-series bit-stream format.","allTopics":["toolchain","tools","xilinx","vivado","fuzzer","xilinx-fpga","bitstream","artix7","artix","kintex7","symbiflow","fpga"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":32,"issueCount":115,"starsCount":747,"forksCount":150,"license":"ISC License","participation":[0,2,2,2,1,2,3,2,3,0,0,0,2,0,1,0,2,6,1,2,2,0,1,1,1,3,2,1,3,1,2,2,3,3,0,1,2,1,3,2,3,2,1,2,3,1,4,3,0,2,1,2],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-08T17:22:22.297Z"}},{"type":"Public","name":"f4pga-website","owner":"f4pga","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"SCSS","color":"#c6538c"},"pullRequestCount":2,"issueCount":3,"starsCount":3,"forksCount":3,"license":"Apache License 2.0","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-07T01:22:55.626Z"}},{"type":"Public","name":"sphinx_f4pga_theme","owner":"f4pga","isFork":true,"description":"A material-based, responsive theme inspired by mkdocs-material","allTopics":[],"primaryLanguage":{"name":"CSS","color":"#563d7c"},"pullRequestCount":0,"issueCount":7,"starsCount":3,"forksCount":92,"license":"Other","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,11,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-23T16:30:42.380Z"}},{"type":"Public","name":"f4pga","owner":"f4pga","isFork":true,"description":"Documentation for F4PGA","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":1,"issueCount":0,"starsCount":21,"forksCount":45,"license":"Apache License 2.0","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-10-03T01:09:47.064Z"}},{"type":"Public","name":"prjtrellis","owner":"f4pga","isFork":true,"description":"Documenting the Lattice ECP5 bit-stream format.","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":1,"issueCount":0,"starsCount":48,"forksCount":86,"license":"Other","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-05-26T19:43:39.641Z"}},{"type":"Public","name":"actions","owner":"f4pga","isFork":false,"description":"GitHub Actions to be reused in CI workflows","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":1,"issueCount":7,"starsCount":1,"forksCount":6,"license":"Apache License 2.0","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-11-21T21:21:57.374Z"}},{"type":"Public","name":"edalize","owner":"f4pga","isFork":true,"description":"An abstraction library for interfacing EDA tools","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":1,"issueCount":2,"starsCount":2,"forksCount":181,"license":"BSD 2-Clause \"Simplified\" License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-10-14T07:42:09.272Z"}},{"type":"Public","name":".github","owner":"f4pga","isFork":false,"description":"","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-07-04T12:24:48.986Z"}},{"type":"Public","name":"ideas","owner":"f4pga","isFork":false,"description":"Random ideas and interesting ideas for things we hope to eventually do.","allTopics":["symbiflow"],"primaryLanguage":null,"pullRequestCount":0,"issueCount":56,"starsCount":86,"forksCount":9,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-04-04T09:12:07.571Z"}},{"type":"Public","name":"prjuray-db","owner":"f4pga","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Makefile","color":"#427819"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":0,"license":"Creative Commons Zero v1.0 Universal","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-02-20T12:46:51.560Z"}},{"type":"Public","name":"prjuray","owner":"f4pga","isFork":false,"description":"Documenting the Xilinx Ultrascale, Ultrascale+ and UltraScale MPSoC series bit-stream format.","allTopics":["fpga","xilinx","vivado","fuzzer","xilinx-fpga","bitstream","ultrascale","symbiflow","ultrascale-plus"],"primaryLanguage":{"name":"SystemVerilog","color":"#DAE1C2"},"pullRequestCount":3,"issueCount":15,"starsCount":68,"forksCount":13,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-02-09T08:43:26.180Z"}},{"type":"Public","name":"icestorm","owner":"f4pga","isFork":true,"description":"Project IceStorm - Lattice iCE40 FPGAs Bitstream Documentaion (Reverse Engineered)","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":32,"forksCount":223,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-01-22T19:23:48.135Z"}},{"type":"Public","name":"prjxray-db","owner":"f4pga","isFork":false,"description":"Project X-Ray Database: XC7 Series","allTopics":["toolchain","bitset","database","tools","fpga","xilinx","xilinx-fpga","artix7","artix","kintex7","symbiflow","prjxray"],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":0,"starsCount":61,"forksCount":30,"license":"Creative Commons Zero v1.0 Universal","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-12-14T21:34:10.185Z"}},{"type":"Public","name":"symbiflow-xc7z-automatic-tester","owner":"f4pga","isFork":false,"description":"Tool for automatically testing FPGA designs using a Zynq Series 7 board.","allTopics":["testing","zynq","testingbot","symbiflow"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":6,"forksCount":5,"license":"ISC License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-04-22T15:21:08.661Z"}}],"repositoryCount":15,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}