{"payload":{"pageCount":2,"repositories":[{"type":"Public","name":"osic-multitool","owner":"iic-jku","isFork":false,"description":"JKU IIC OSIC-Multitool for open-source IC (OSIC) design for SKY130.","allTopics":["magic","netgen","ngspice","lvs","openlane","sky130","xschem"],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":0,"starsCount":47,"forksCount":14,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-06T12:15:49.398Z"}},{"type":"Public","name":"jku-sfl-test-cells","owner":"iic-jku","isFork":false,"description":"Flicker noise test cells for the IHP Open-Source PDK (SG13G2) for the MPW Tape-out May 2024.","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-03T15:27:28.544Z"}},{"type":"Public","name":"kvosid_336004_ss24","owner":"iic-jku","isFork":false,"description":"Course material for 336.004 (Prof. Pretl) in SS24 at JKU ","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-28T09:10:35.719Z"}},{"type":"Public","name":"IIC-OSIC-TOOLS","owner":"iic-jku","isFork":true,"description":"IIC-OSIC-TOOLS is an all-in-one Docker image for SKY130/GF180/IHP130-based analog and digital chip design. AMD64 and ARM64 are natively supported.","allTopics":["circuits","ic","digital-design","microelectronics","analog-design","mixed-signal-chips"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":1,"issueCount":5,"starsCount":244,"forksCount":52,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-25T14:58:36.576Z"}},{"type":"Public","name":"SKY130_PLL1","owner":"iic-jku","isFork":true,"description":"Implementation of a Sub-Sampling PLL targeting SerDes Applications in SKYWATER PDK 130nm process","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":5,"forksCount":2,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-04-23T09:16:53.167Z"}},{"type":"Public","name":"jku-tt06-tdc-v2","owner":"iic-jku","isFork":true,"description":"TDC based on simple inverter ring","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":1,"issueCount":0,"starsCount":0,"forksCount":2,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-30T12:01:53.757Z"}},{"type":"Public","name":"jku-tt06-tdc-v1","owner":"iic-jku","isFork":false,"description":"TDC based on simple inverter chain","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":2,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-17T12:48:50.743Z"}},{"type":"Public","name":"jku-tt06-tempsens-ng","owner":"iic-jku","isFork":false,"description":"Temperature sensor from standard cells","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-10T17:52:56.378Z"}},{"type":"Public","name":"IIC-RALF","owner":"iic-jku","isFork":true,"description":"Reinforcement learning assisted analog layout design flow.","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":6,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-01T22:12:22.061Z"}},{"type":"Public","name":"Circuit-Designers-Etiquette","owner":"iic-jku","isFork":false,"description":"A set of rules and recommendations for analog and digital circuit designers.","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":3,"starsCount":21,"forksCount":0,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-02-16T09:20:39.067Z"}},{"type":"Public","name":"eda_docker","owner":"iic-jku","isFork":false,"description":"A Docker Container based one CentOS 7 to run commercial EDA applications, that require a legacy OS environment.","allTopics":[],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":0,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-02-14T10:51:00.161Z"}},{"type":"Public","name":"skill-collection","owner":"iic-jku","isFork":false,"description":"Helpful Cadence Skill Scrips","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-02-05T16:22:45.540Z"}},{"type":"Public","name":"SKY130_SAR-ADC1","owner":"iic-jku","isFork":true,"description":"Fully-differential asynchronous non-binary 12-bit SAR-ADC in SKY130, free to re-use under Apache-2.0 license","allTopics":["adc","mixed-signal","sky130","icdesign"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":31,"forksCount":8,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-23T16:05:08.213Z"}},{"type":"Public","name":"tt03-tempsensor","owner":"iic-jku","isFork":true,"description":"Synthesizable temperature sensor for Tiny Tapeout 03, developed by IIC@JKU.","allTopics":[],"primaryLanguage":{"name":"Red","color":"#f50000"},"pullRequestCount":0,"issueCount":0,"starsCount":20,"forksCount":204,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-01T17:12:41.466Z"}},{"type":"Public","name":"sky130_adc_202311","owner":"iic-jku","isFork":true,"description":"","allTopics":[],"primaryLanguage":{"name":"PLSQL","color":"#dad8d8"},"pullRequestCount":0,"issueCount":0,"starsCount":5,"forksCount":90,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-11-20T14:11:42.119Z"}},{"type":"Public","name":"OpenVAF","owner":"iic-jku","isFork":true,"description":"An innovative Verilog-A compiler","allTopics":[],"primaryLanguage":{"name":"Rust","color":"#dea584"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":13,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-11-01T08:16:55.619Z"}},{"type":"Public","name":"tt05-analog-test","owner":"iic-jku","isFork":false,"description":"Analog test macro (500kHz ring oscillator, 3-bit DAC) for TinyTapeout 05.","allTopics":[],"primaryLanguage":{"name":"Tcl","color":"#e4cc98"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":2,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-10-25T17:02:51.822Z"}},{"type":"Public","name":"SKY130-RTL-with-Custom-Standardcell-to-GDSII","owner":"iic-jku","isFork":true,"description":"Documentation for RTL-with-customcells to GDSII","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":5,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-10-25T12:58:16.298Z"}},{"type":"Public","name":"IHP-Open-PDK","owner":"iic-jku","isFork":true,"description":"130nm BiCMOS Open Source PDK, dedicated for Analog, Mixed Signal and RF Design","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":43,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-10-24T08:05:33.541Z"}},{"type":"Public","name":"sky130_power_gate","owner":"iic-jku","isFork":false,"description":"PMOS power gate for TinyTapeout","allTopics":[],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":2,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-10-20T10:47:53.991Z"}},{"type":"Public","name":"mpw8-submission","owner":"iic-jku","isFork":false,"description":"MPW-8 tapeout submission containing mixed-signal circuit blocks in SKY130","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":0,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-10-18T07:33:13.420Z"}},{"type":"Public","name":"SKY130_CT-DSM","owner":"iic-jku","isFork":true,"description":"A case study of a continuous-time Delta-Sigma modulator including system-level simulations/design of the CT-DSM, circuit-design of the front-end Gm-cell and a mixed-signal simulation w/ Ngspice.","allTopics":[],"primaryLanguage":{"name":"Jupyter Notebook","color":"#DA5B0B"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":2,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-10-01T19:08:18.724Z"}},{"type":"Public","name":"jku-templates-presentation-latex","owner":"iic-jku","isFork":true,"description":"LaTeX Beamer Theme for Johannes Kepler University Linz","allTopics":[],"primaryLanguage":{"name":"TeX","color":"#3D6117"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":4,"license":"Mozilla Public License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-07-25T09:14:55.920Z"}},{"type":"Public","name":"ALIGN-pdk-sky130","owner":"iic-jku","isFork":true,"description":"","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":3,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-07-17T19:45:22.215Z"}},{"type":"Public","name":"iic-circdia","owner":"iic-jku","isFork":false,"description":"A fork of Stefan Krause's circdia LaTeX package with modifications for Institute for Integrated Circuits, JKU.","allTopics":[],"primaryLanguage":{"name":"TeX","color":"#3D6117"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-03-08T16:42:34.499Z"}},{"type":"Public","name":"kvic_336007_ws22","owner":"iic-jku","isFork":false,"description":"Course material for 336.007 (Prof. Pretl) in WS22 at JKU","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-12-21T10:50:14.818Z"}},{"type":"Public","name":"sscs-ose-code-a-chip.github.io","owner":"iic-jku","isFork":true,"description":"IEEE Solid-State Circuits Society (SSCS) Open-Source Ecosystem (OSE) - “Code-a-Chip” Travel Grant Awards at ISSCC'23","allTopics":[],"primaryLanguage":{"name":"Jupyter Notebook","color":"#DA5B0B"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":78,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-11-26T14:49:23.977Z"}},{"type":"Public","name":".github","owner":"iic-jku","isFork":false,"description":"","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-09-10T14:10:20.151Z"}},{"type":"Public","name":"verilog-covered","owner":"iic-jku","isFork":true,"description":"mirror and fork of verilog code coverage tool from http://hg.code.sf.net/p/covered/code","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":3,"forksCount":4,"license":"GNU General Public License v2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-04-07T19:44:50.688Z"}},{"type":"Public","name":"iic-audiodac-v1","owner":"iic-jku","isFork":false,"description":"Delta-sigma audio DAC (16b, 48kHz), intended for tape-out on MPW-5, SKY130 technology.","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":31,"forksCount":5,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-03-13T14:44:51.523Z"}}],"repositoryCount":36,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}