Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

JTAG : TDO seems to be stuck at 1 #55

Open
pirrup opened this issue Aug 8, 2022 · 5 comments
Open

JTAG : TDO seems to be stuck at 1 #55

pirrup opened this issue Aug 8, 2022 · 5 comments

Comments

@pirrup
Copy link

pirrup commented Aug 8, 2022

When i do detect in urjtag i get error about TDO stuck at 1 or 0

Any idea what the issue could be ? i checked TDO and no short with VCC or Ground

@securelyfitz
Copy link
Contributor

I need some more details about your setup to understand what's going on.
If you've got an error saying TDO is stuck, its typically a wiring issue. Is the error stuck at 1 or stuck at 0? If you short TDO to ground, does it change to zero and when you short it to VCC does it change to 1? If so - then your configuration is right, you just need to get your wiring correct to. If not- then it's time to examine your configuration.

Either way - let me know more about your setup - what version of what tools, what commands you're running, and what your wiring setup to your target is. Then i might be able to spot a mistake, or if not recreate your setup to see what's going on.

@pirrup
Copy link
Author

pirrup commented Aug 16, 2022

jtag> cable ft2232 vid=0x403 pid=0x6010 interface=1
Connected to libftdi driver.
jtag> frequency 100000
Setting TCK frequency to 100000 Hz
jtag> detect

when tigard is set to VTGT (no power to target) and do detect response is: TDO seems to be stuck at 1
when tigard is set to VTGT (with power to target) and do detect response is: TDO seems to be stuck at 1

when tigard is set to 1.8 (so VCC comes from tigard) and do detect response is: TDO seems to be stuck at 0

using latest urjtag on ubuntu

wiring :
TCK -> TCK
TRST -> TRST
TDO -> TDO
GND -> GND
TMS -> TMS
TDI -.> TDI
VTGT -> VREF 1.8

@securelyfitz
Copy link
Contributor

Nothing jumps out at me to try, so a few more questions to understand the situation:
What's the status of the 3 leds in each of those scenarios? https://github.com/tigard-tools/tigard#debugging

What happens in scenario 1 or 2 when you take the tigard TDO wire and short it to ground?
What happens in scenario 3 when you short TDO to VTGT?
How much power is the target consuming on the 1.8v VTGT in scenario 3? Can you check if the voltage with a multimeter?

Is the target confirmed working with another JTAG adapter?
Could you try using openocd with the same setup to see if you get the same behavior?

@pirrup
Copy link
Author

pirrup commented Aug 17, 2022

scenario 1 : no VTGT LED
scenario 2 : ALL LEDS WORKING
scenario 3 : ALL LEDS WORKING

short to ground :
scenario 1 : stuck at 1
scenario 2 : goes to stuck at 0

short to VTGT:
scenario 3 : goes to stuck at 1

power in scenario 3 is 1.77V

target i cannot disclose publicly , but can be discussed offline .

@securelyfitz
Copy link
Contributor

So, looking at all the pieces, you've got:

  1. the tool, urjtag
  2. the configuration within the tool for your interface
  3. the usb communication to the controller
  4. the controller (tigard)
  5. the level shifting on the controller
  6. the wiring to the target
  7. the target itself

your tests completely rule out 2, 3, and 5, and partially cover 4 and 6.

The best bet now is to try one or all of the folllowing:

  • use openocd to see if that identifies any idcode, ruling out a software issue
  • use a different jtag adapter if you've got one, to rule out a bad tigard
  • use a different jtag target, to rule out the target as an issue

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants