{"payload":{"feedbackUrl":"https://github.com/orgs/community/discussions/53140","repo":{"id":146049340,"defaultBranch":"main","name":"chiseltest","ownerLogin":"ucb-bar","currentUserCanPush":false,"isFork":false,"isEmpty":false,"createdAt":"2018-08-24T23:43:22.000Z","ownerAvatar":"https://avatars.githubusercontent.com/u/998197?v=4","public":true,"private":false,"isOrgOwned":true},"refInfo":{"name":"","listCacheKey":"v0:1713484949.0","currentOid":""},"activityList":{"items":[{"before":"bdb84f7015c411f0abd47ab26496dcfea5a7b34c","after":"82993eb0c0c19c5da95c5b60e214d3594414b102","ref":"refs/heads/main","pushedAt":"2024-04-24T00:48:55.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"Add License File (#727)\n\n* Add 3-clause BDS license file\r\n\r\n* add license info to readme","shortMessageHtmlLink":"Add License File (#727)"}},{"before":null,"after":"68719e8593fdc4a4e16a5a085f934f8e5695e62f","ref":"refs/heads/license","pushedAt":"2024-04-19T00:02:29.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"add license info to readme","shortMessageHtmlLink":"add license info to readme"}},{"before":"06e6ea8e7b9cf4952bcafc7c5a727e1edb5d11ba","after":"bdb84f7015c411f0abd47ab26496dcfea5a7b34c","ref":"refs/heads/main","pushedAt":"2024-03-08T13:04:44.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"Add k-induction for SmtModelCheckers (#713)\n\n* K-induction: Initial codepath.\r\n\r\n* Add k-induction for SmtModelCheckers\r\n\r\nReused much of the BMC code.\r\n- Perform BMC for cycles [0..k-1]\r\n- Asserted constraints for cycles [n..n + k]\r\n- Asserted assumptions for cycles [n..n + k-1]\r\n- Checked the negation of the assertions for cycle n + k\r\n\r\n* K-Induction: Initialize transition system at arbitrary step\r\n\r\nIn doing so there is no need to filter out the _resetActive constraint.\r\n\r\n* K-Induction: Add zipcpu k-induction tests","shortMessageHtmlLink":"Add k-induction for SmtModelCheckers (#713)"}},{"before":"06e6ea8e7b9cf4952bcafc7c5a727e1edb5d11ba","after":"d764fdf74652426b57c6eae00d71eac19effd224","ref":"refs/heads/6.x","pushedAt":"2024-02-28T15:07:56.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"v6.0.0","shortMessageHtmlLink":"v6.0.0"}},{"before":null,"after":"06e6ea8e7b9cf4952bcafc7c5a727e1edb5d11ba","ref":"refs/heads/6.x","pushedAt":"2024-02-28T14:52:27.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"Parse bitwuzla version number to provide appropriate command line args (#721)\n\nCloses #716","shortMessageHtmlLink":"Parse bitwuzla version number to provide appropriate command line args ("}},{"before":"0783f2789981c340e7486578c6210ed8b97c4ec2","after":"06e6ea8e7b9cf4952bcafc7c5a727e1edb5d11ba","ref":"refs/heads/main","pushedAt":"2024-02-26T23:29:41.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"Parse bitwuzla version number to provide appropriate command line args (#721)\n\nCloses #716","shortMessageHtmlLink":"Parse bitwuzla version number to provide appropriate command line args ("}},{"before":"6e194dc83fa3a55b62ba6c5fdef12a26ffffc500","after":"0783f2789981c340e7486578c6210ed8b97c4ec2","ref":"refs/heads/main","pushedAt":"2024-02-07T17:44:05.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"[CI] add verilator 5.x tests (#709)","shortMessageHtmlLink":"[CI] add verilator 5.x tests (#709)"}},{"before":"c9917be0705923ee3c692e3cfbad3fc08a54f6d3","after":"6e194dc83fa3a55b62ba6c5fdef12a26ffffc500","ref":"refs/heads/main","pushedAt":"2024-02-06T18:19:16.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"Revert version to 6.0-SNAPSHOT and trigger a snapshot release (#710)","shortMessageHtmlLink":"Revert version to 6.0-SNAPSHOT and trigger a snapshot release (#710)"}},{"before":"b2d8908f6c8e2cc46a42ec61f9aa031805a4267c","after":"c9917be0705923ee3c692e3cfbad3fc08a54f6d3","ref":"refs/heads/main","pushedAt":"2024-02-05T18:39:32.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"Bump to chisel 6 release (#705)\n\n* Bump to chisel 6 release\r\n\r\n- Bumped chisel -> 6.0.0\r\n - Fixed missing (moved) 'Width' class\r\n- Bumped scala -> 2.13.12\r\n - Fixed compilation issues due to 'scala.io' namespace clash\r\n- bump sbt -> 1.9.8\r\n- fix Verilator 5.x coverage\r\n- fix Verilator 5.x tests\r\n\r\n* downgrade sbt to 1.9.7 to work around sbt/sbt#7463\r\n\r\n* make sure all dependencies are up to date\r\n\r\n- bump sbt-ci-release sbt plugin\r\n- bump jna dependency\r\n\r\n* print a warning on unsupported annotations\r\n\r\nand encourage users to report it for further investigation and possible conversions/workarounds.\r\n\r\n* add help2man install for verilator dependencies\r\n\r\n- add jvm 21 to tests\r\n- bump ubuntu (only verilator test for now) to 22.04 (LTS)\r\n\r\n* rollback verilator reg. to ubuntu 20.04\r\n\r\ndue to https://github.com/verilator/verilator/issues/3993\r\n(hopefully we can build newer versions of verilator on 20.04)\r\n\r\n* ignore firrtl ConstType and only consider the underlying type\r\n\r\n* remote verilator tests for 5.018 and 5.020","shortMessageHtmlLink":"Bump to chisel 6 release (#705)"}},{"before":"5b9c0b13d7e11608a7c7aab27c8893a8a1eddef0","after":"b2d8908f6c8e2cc46a42ec61f9aa031805a4267c","ref":"refs/heads/main","pushedAt":"2024-01-03T16:35:34.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"Add convert DecodeTableAnnotation regression test (#698)\n\n* Add convert decode regression test\r\n\r\n* add decode table annotation convert\r\n\r\n* Ignore DecodeTableAnnotation\r\n\r\n* Format test","shortMessageHtmlLink":"Add convert DecodeTableAnnotation regression test (#698)"}},{"before":"1caca886e7098a2833fd6f1cf13b1b686e204bc7","after":"5b9c0b13d7e11608a7c7aab27c8893a8a1eddef0","ref":"refs/heads/main","pushedAt":"2023-11-16T16:30:27.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"Bugfix: loadMemoryFromFile support absolute paths (#693)\n\nPreviously, specifying an absolute path in loadMemoryFromFileInline\r\nwould cause an error when running with treadle, because the path was\r\nabsolutized using os.path.RelPath. The latter function throws an\r\nexception for absolute paths.\r\n\r\nNow both absolute and relative paths work (matching the behaviour of\r\nVerilator).\r\n\r\nNote that this has no security implications, since the previous\r\n\"relative-path\" based implementation also allowed \"../../\" paths;\r\nexisting relative paths should not be affected.","shortMessageHtmlLink":"Bugfix: loadMemoryFromFile support absolute paths (#693)"}},{"before":"3c8d9bf398196b5bbc6c6d4779252fde304f885f","after":"1caca886e7098a2833fd6f1cf13b1b686e204bc7","ref":"refs/heads/main","pushedAt":"2023-10-25T13:59:18.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"Update scalafmt-core to 3.7.15 (#691)\n\n* Update scalafmt-core to 3.7.15\r\n\r\n* Reformat with scalafmt 3.7.15\r\n\r\nExecuted command: scalafmt --non-interactive\r\n\r\n* Add 'Reformat with scalafmt 3.7.15' to .git-blame-ignore-revs","shortMessageHtmlLink":"Update scalafmt-core to 3.7.15 (#691)"}},{"before":"5d198083bd947513f237435ea30b3ee4eb92e246","after":null,"ref":"refs/heads/dependencyapi_phase4","pushedAt":"2023-09-22T19:46:51.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"}},{"before":"e49911e8898ea4291bb74538f491e9376d4ac8f3","after":null,"ref":"refs/heads/dependencyapi_phase3","pushedAt":"2023-09-22T19:46:50.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"}},{"before":"01c960b8830b8a39daf1771fabce5b7e2e8049b2","after":null,"ref":"refs/heads/dependencyapi_phase2","pushedAt":"2023-09-22T19:46:49.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"}},{"before":"8d3365ee333f47a839f57514a0a8805d40f2264e","after":null,"ref":"refs/heads/dependencyapi_phase1","pushedAt":"2023-09-22T19:46:48.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"}},{"before":"4a19da757983efc9921c6489301d95adf2c2dfbe","after":null,"ref":"refs/heads/peekBundle-better-error","pushedAt":"2023-09-22T19:46:42.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"}},{"before":"63ac09f9995b84944a0d4b1950e67154f2b65f49","after":null,"ref":"refs/heads/readme-roadmap","pushedAt":"2023-09-22T19:46:40.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"}},{"before":"7f14a550d1353086e026deb15253c5a8d114f269","after":null,"ref":"refs/heads/backendrefactor","pushedAt":"2023-09-22T19:46:39.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"}},{"before":"2858955c27ff756e287508381e59fb0f3a84f031","after":null,"ref":"refs/heads/clockAnalysis","pushedAt":"2023-09-22T19:46:36.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"}},{"before":"ccc91cf97fa5b37b35526ef304b916567e421bdb","after":"3c8d9bf398196b5bbc6c6d4779252fde304f885f","ref":"refs/heads/main","pushedAt":"2023-09-22T18:31:05.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"Coverage Passes (#689)\n\n* import coverage code from simulator independent converage paper\r\n* import tests from simulator independent coverage\r\n* fix some coverage tests\r\n* fix CodeBase","shortMessageHtmlLink":"Coverage Passes (#689)"}},{"before":"6e8ebc7dc7b15b5f2c865359b23b54d382f95f9d","after":"ccc91cf97fa5b37b35526ef304b916567e421bdb","ref":"refs/heads/main","pushedAt":"2023-09-22T15:45:32.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"remove utest dependency","shortMessageHtmlLink":"remove utest dependency"}},{"before":"1648c14d5c0a8a925545bbb61d68ad3c7b9f9346","after":"6e8ebc7dc7b15b5f2c865359b23b54d382f95f9d","ref":"refs/heads/main","pushedAt":"2023-09-22T15:40:35.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"remove support for utest (#688)\n\nThe support already was not tested in CI.","shortMessageHtmlLink":"remove support for utest (#688)"}},{"before":"6457e1a372e776ae4c159e5df86b5123b514c0a1","after":"1648c14d5c0a8a925545bbb61d68ad3c7b9f9346","ref":"refs/heads/main","pushedAt":"2023-09-20T17:33:21.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"modernize build.sbt (#687)\n\nWith lots of inspiration from the firrtl build.sbt.","shortMessageHtmlLink":"modernize build.sbt (#687)"}},{"before":"33baf7c2f86e220d1c45a570fbe436045c4eaca6","after":"6457e1a372e776ae4c159e5df86b5123b514c0a1","ref":"refs/heads/main","pushedAt":"2023-09-18T19:08:15.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"Rewrite Threading Backend (#680)\n\n* remove old threaded backend\r\n* wip: new threading backend\r\n* implement join\r\n* SimController: doStep when there are no other threads waiting\r\n* move simulator caching into its own class\r\n* generally caching peeks does not seem to be worth it\r\n* move scheduler to its own file\r\n* scheduler: fix deadlock where we were joining on the wrong thread\r\n* scheduler: assertion can fail because another thread threw an exception\r\n* scheudler: add thread switch debugging\r\n* scheduler: maintain thread execution order\r\n* scheduler: use custom tree datastructure to determine scheduler order\r\n* scheduler: set activeThreadId from resumed thread in order to avoid race condition\r\n* scheduler: terminate remaining threads at end of main thread\r\n* adjust thread join test to new behavior\r\n* scheduler: better debugging output\r\n* scheduler: fix thread order\r\n* scheduler: wait for child threads if not the main thread\r\n* scheduler: simplify waiting\r\n* factor our I/O access\r\n* cache pokes in I/O access\r\n* unique signal id\r\n* propagate exceptions up the threads\r\n* scheduler: correct thread status when terminating because of an exception\r\n* scheduler: correctly calculate failure point\r\n* ci: add some timeouts to more quickly catch stuck tests\r\n* wip: regions\r\n* scheduler: allow pokes from parent thread to be overwritten\r\n* wip: simplify combinatorial path tracking\r\n* access: check for disallowed peeks\r\n* check for r/w conflicts on the same signal\r\n* access check: fix some small bugs\r\n* check more r/w conflicts\r\n* thread safety: remove tests that do not encode our new behavior\r\n* remove some exceptions and implement simple join and step\r\n* scheduler: implement priorities (regions)\r\n* scheduler: move resumeThread into try block\r\n* disable multi-clock tests\r\n* remove NoThreadingAnnotation\r\n* remove timescope\r\n* allow stepping the global clock without chisel3.Clock signal\r\n* scheduler: deal better with exceptions\r\n* TestEnv: properly copy exceptions\r\n* change how expect failures are propagated\r\n* small tweaks to scheduler and adjust test to new behavior\r\n* fix join and step\r\n* fix some tests\r\n* wip: better error reporting\r\n* update tests\r\n* valid driver: remove todos\r\n* fault locators working\r\n* fix valid driver\r\n* take join order into account when checking peeks and pokes\r\n* wip: better thread conflict error messages\r\n* better error messages\r\n* timescope function should still be available, but it needs to fail\r\n* add back region fork/join checking\r\n* reduce number of files","shortMessageHtmlLink":"Rewrite Threading Backend (#680)"}},{"before":"c77eb363fcfc375f4858139243962481255dad68","after":"33baf7c2f86e220d1c45a570fbe436045c4eaca6","ref":"refs/heads/main","pushedAt":"2023-09-16T00:04:25.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"ChiselBridge: filter out chisel options (#685)","shortMessageHtmlLink":"ChiselBridge: filter out chisel options (#685)"}},{"before":"3035b9fc692e18d5286aac75404d49e6f9ad0609","after":"e1e11f4069b730edbd1f463aab1763772a1152f5","ref":"refs/heads/5.x","pushedAt":"2023-09-16T00:03:49.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"bump version to 5.0.2","shortMessageHtmlLink":"bump version to 5.0.2"}},{"before":"3f7bfc364ea0748c3acdd0d2503e265163914126","after":"3035b9fc692e18d5286aac75404d49e6f9ad0609","ref":"refs/heads/5.x","pushedAt":"2023-09-16T00:01:06.000Z","pushType":"push","commitsCount":2,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"bump version to 5.0.2","shortMessageHtmlLink":"bump version to 5.0.2"}},{"before":"936599771ffbde9afe58d2551a492678a5487d18","after":"c77eb363fcfc375f4858139243962481255dad68","ref":"refs/heads/main","pushedAt":"2023-09-14T17:43:13.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"Update scalatest to 3.2.17 (#684)","shortMessageHtmlLink":"Update scalatest to 3.2.17 (#684)"}},{"before":"a30458f3fb2ac90fa9abdcf2ad96835c4d9bcdb1","after":"936599771ffbde9afe58d2551a492678a5487d18","ref":"refs/heads/main","pushedAt":"2023-09-11T16:49:29.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"ekiwi","name":"Kevin Laeufer","path":"/ekiwi","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/2456545?s=80&v=4"},"commit":{"message":"[integration] add more uart benchmarks (#681)","shortMessageHtmlLink":"[integration] add more uart benchmarks (#681)"}}],"hasNextPage":true,"hasPreviousPage":false,"activityType":"all","actor":null,"timePeriod":"all","sort":"DESC","perPage":30,"cursor":"djE6ks8AAAAEOJ2vQQA","startCursor":null,"endCursor":null}},"title":"Activity ยท ucb-bar/chiseltest"}