Skip to content

Add regression test for components #1992

Add regression test for components

Add regression test for components #1992

Triggered via pull request April 9, 2024 21:47
Status Failure
Total duration 10m 18s
Artifacts

CI.yml

on: pull_request
Matrix: check
Matrix: clippy
Matrix: unknown-targets
Matrix: tests
Fit to window
Zoom out
Zoom in

Annotations

3 errors
Test ubuntu-latest (rust 1.74.0)
Process completed with exit code 101.
Test ubuntu-latest (rust stable)
Process completed with exit code 101.
Test ubuntu-latest (rust nightly)
Process completed with exit code 101.