Skip to content

Add regression test for components #1996

Add regression test for components

Add regression test for components #1996

Triggered via pull request April 10, 2024 09:19
Status Success
Total duration 8m 50s
Artifacts

CI.yml

on: pull_request
Matrix: check
Matrix: clippy
Matrix: unknown-targets
Matrix: tests
Fit to window
Zoom out
Zoom in