Skip to content

Parimala6/Floating-point-MAC-verilog

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

14 Commits
 
 
 
 

Repository files navigation

Floating-point-MAC-verilog

32 - bit floating point Multiplier Accumulator Unit (MAC)

The proposed MAC unit is implemented in Xilinx ISE Design suite 2018.2 on ZedBoard Zynq Evaluation and Development Kit (xc7z020clg484-1). Both Floating Point adder and multiplier are fully synthesizable. The above approach has been adapted from [Implementation of 32 Bit Floating Point MAC Unit to Feed Weighted Inputs to Neural Networks].

Simulation

The result can be verified from the screenshot here.

About

32 - bit floating point Multiplier Accumulator Unit (MAC)

Topics

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published