Skip to content

Commit

Permalink
Release 4.5.0
Browse files Browse the repository at this point in the history
  • Loading branch information
umarcor committed May 21, 2021
1 parent 597bebb commit e4a38f1
Show file tree
Hide file tree
Showing 2 changed files with 28 additions and 1 deletion.
27 changes: 27 additions & 0 deletions docs/release_notes/4.5.0.rst
@@ -0,0 +1,27 @@
- Update year and update license test to 2021.
- Bump OSVVM. :vunit_issue:`712`
- Support Python 3.9.
- Call `supports_coverage()` rather than returning method object. :vunit_issue:`638`
- Do not use `relpath` when printing output file. :vunit_issue:`661`
- Make `runner.create_output_path` a member of `TestRunner` class and reanme to `_get_output_path`. :vunit_issue:`682`
- Update `check_stable` to handle longer time frames. :vunit_issue:`636`
- Add `check_equal` for `character`. :vunit_issue:`721` :vunit_issue:`722`
- Update `.gitignore`. :vunit_issue:`641`
- Resolve ambiguity between VUnit's `line_vector` type and the new standard `line_vector` type in VHDL-2019. :vunit_issue:`664`
- [Tests] Use `str` for params to `self.check`, reduce 'many_keys' to avoid failure with latest GHDL.
- [Docs] Travis is not used for releases, use `autodoc_default_options` instead of (deprecated) `autodoc_default_flags`, fix duplicated content and index of vunit_cli, add intersphinx mapping to docs.python.org, update 'Credits' and 'License', use buildthedocs/sphinx.theme, replace `LICENSE.txt` with `LICENSE.rst`, replace `README.rst` with `README.md`, move 'Requirements' from 'About' to 'Installing', add captioned toctrees, use admonitions, move CI out from CLI and update content, add blog post on continuous integration, clarify that GHDL is a rolling project. :vunit_issue:`694`
- [Tools] raise exception if git not available when creating release notes.
- [Example/vhdl/array_axis_vcs] Update, expand procedure `run_test`, add stall functionality. :vunit_issue:`648`
- [UI] Fix not serializable path when exporting JSON. :vunit_issue:`657`
- [Tox] add pyproject.toml, use isolated_build, merge tox.ini into pyproject.yml.
- [Setup] Ensure that the source tree is on the sys path.
- [RivieraPro] Fix coverage merge error. :vunit_issue:`675`
- [RivieraPro] handle empty macro. :vunit_issue:`681`
- [RivieraPro] Update VHDL version option in command line interface to work with version 2020.04 and above. :vunit_issue:`664`
- [VCs] Add null AXI stream master and slave constants.
- [VCs] Fix bug in AXI stream protocol checker rule 4.
- [VCs] Add ability to define the actor on new_axi_slave function. :vunit_issue:`709`
- [VCs] Push avalon master read req msg one cycle earlier. :vunit_issue:`695` :vunit_issue:`696`
- [VCs] Fix broken msg passing in wishbone master. :vunit_issue:`692` :vunit_issue:`693`
- [CI] Update container registry, use ghcr.io.
- [CI] Pin Sphinx and docutils version to work around theme issues.
2 changes: 1 addition & 1 deletion vunit/about.py
Expand Up @@ -60,4 +60,4 @@ def version():
return VERSION


VERSION = "4.4.1rc0"
VERSION = "4.5.0"

0 comments on commit e4a38f1

Please sign in to comment.