Skip to content

4.4.0

Compare
Choose a tag to compare
@eine eine released this 26 Mar 19:43
· 452 commits to master since this release
  • Update year and update license test to 2020.
  • Bump OSVVM to latest version.
  • Add possibility to configure random stalls for AXI Stream. (#557)
  • JSON-for-VHDL: use base16 encodings. (#595)
  • First release requiring Python 3.6 or higher. Python 2.7, 3.4 and 3.5 are not supported anymore. (#596, #601)
  • Start adding type annotations to the Python sources; add mypy (a static type checker) to the list of linters. (#601, #626)
  • Move co-simulation (VHPIDIRECT) sources (implementation and example) to VUnit/cosim. (#606)
  • ghdl interface: with ghdl_e, save runtime args to JSON file. (#606)
  • Add missing mode assertions to -93 sources of integer_vector_ptr and string_ptr. (#607)
  • Add method get_simulator_name() to public Python API. (#610)
  • Start replacing join, dirname, etc. with pathlib. (#612, #626, #632)
  • Fix parsing adjacent hyphens in a literal. (#616)
  • Fix ghdl.flags error in documentation. (#620)
  • Rename compile option ghdl.flags to ghdl.a_flags. (#624)
  • Move project.Library to separate file.
  • Remove Travis CI and AppVeyor, use GitHub Actions only.
  • Remove Sphinx extension ABlog; handle posts as regular pages in subdir blog.
  • Update GHDL to v0.37 in Windows CI jobs.
  • Fix regression in GHDL (prefix of array attribute must be an object name). (#631, #635)
  • Add code coverage support for GHDL. (#627)