Skip to content

Releases: abs-tudelft/fletcher

Fletcher v0.0.20

20 Sep 09:49
Compare
Choose a tag to compare
Fletcher v0.0.20 Pre-release
Pre-release
  • Fletcher now uses Arrow 5.0.0 (#281)
  • Adds "oc-accel" to autodetect platforms (#280)
  • Various bug fixes (#276 #277 #279)

Fletcher v0.0.19

08 Feb 22:32
0.0.19
dbe3b3a
Compare
Choose a tag to compare
Fletcher v0.0.19 Pre-release
Pre-release
  • Bump Arrow to 3.0.0 (#271)

Fletcher v0.0.18

14 Jan 15:55
0.0.18
afad4ee
Compare
Choose a tag to compare
Fletcher v0.0.18 Pre-release
Pre-release

Fixed some RPM packaging issues.

Fletcher v0.0.17

12 Jan 13:18
0.0.17
4a93cf6
Compare
Choose a tag to compare
Fletcher v0.0.17 Pre-release
Pre-release

This release improves the Fletcher bus infrastructure for writing.

  • Adds a write response channel.

This allows to ensure bytes have landed in memory when the response "ok" bit is asserted.
Once ArrayWriters signal on the unlock stream that a command is completed, the bytes are now guaranteed to be as written in memory.

  • Adds a write request last bit.

This bit signifies a BufferWriter has sent the last write request for a command, allowing platform-specific implementations to perform some final action.
One example is the fletcher_opae platform that requires a write fence to be produced.
This is somewhat analogous to how ArrayBuilders in Arrow's various libraries have a Finish() function.
On the AXI top level, this bit is exposed through the awuser(0) bit.

Fletcher v0.0.16

08 Jan 18:40
0.0.16
47a7d2e
Compare
Choose a tag to compare
Fletcher v0.0.16 Pre-release
Pre-release
  • Add binary packages for Fletcher runtime and Fletchgen (#265)

Fletcher v0.0.15

14 Dec 11:57
0.0.15
24b39ce
Compare
Choose a tag to compare
Fletcher v0.0.15 Pre-release
Pre-release
  • Include hardware sources in wheel assets (#262)

Fletcher v0.0.14

10 Dec 15:28
0.0.14
0661251
Compare
Choose a tag to compare
Fletcher v0.0.14 Pre-release
Pre-release
0.0.14

Fletcher v0.0.13

12 Nov 18:12
0.0.13
2160d34
Compare
Choose a tag to compare
Fletcher v0.0.13 Pre-release
Pre-release
  • Add feature to allow external I/O signals
  • Tell Cerata not to insert a signal for clock/reset
  • Add cmdIn_lastIdx to sensitivity list in BufferWriterCmdGenBusReq

Fletcher v0.0.12

01 Oct 14:34
0.0.12
e292ccc
Compare
Choose a tag to compare
Fletcher v0.0.12 Pre-release
Pre-release

This release add support for a 64-bits wide data bus for the AXI4-lite MMIO interface.

Fletcher v0.0.11

31 Jul 11:00
9e763f5
Compare
Choose a tag to compare
Fletcher v0.0.11 Pre-release
Pre-release

This release upgrades Fletcher to depend on the first stable release of Arrow (v1.0.0).

Changes:

  • Minor API changes in both the C++ and Python library, specifically:
    • C++ runtime: WaitForFinish() is now called PollUntilDone().

    • Python runtime: wait_for_finish is now called poll_until_done.

    • The hardware construction library Cerata, used by Fletchgen, has been moved to a separate repository

Other than that, this release only contains some minor bugfixes that can be found in the commit log.