Skip to content

Releases: edaa-org/pyEDAA.ProjectModel

v0.4.3

07 Jul 22:09
38aa30f
Compare
Choose a tag to compare

Release created on: 07.07.2023 - 22:09:16

New Features

  • Updated VHDLLibrary class.
    • Dependencies between libraries to compute compile order of libraries (based on anytree).

Changes

  • Bumped dependencies.
  • Using ExtendedType from pyTooling.
    • Mark mixin-classes as mixins.
  • Changed string formatting to use f-strings.
  • Updated Sphinx configuration.
    • Remove unused local extensions.
    • Configured autoapi
  • Added more Sphinx roles for text styling.
  • Added modified Jinja template for autoapi.
  • ci/Params: do not override python_version_list, since 3.6 was deprecated in pyTooling/Actions.
  • Simplified GitHub Action pipeline using dev branch from pyTooling/Actions.
  • Added dependabot rule to check for GH action updates.
  • Updated PR template.

Bug Fixes

  • Fixed bug in finding already existing VHDL libraries.

Related Issues:


Related PR:

v0.4.2

16 Jan 13:58
9e32a48
Compare
Choose a tag to compare

Release created on: 16.01.2022 - 13:58:43

New Features

None

Changes

  • Changes due to an updated version of pyTooling/Actions.
    • Lowered requirement on lxml.
    • Added more artifact names to the cleanup rule.
  • Added a list of layer consumers.
  • Updated dependencies.

Bug Fixes

None

v0.4.1

27 Dec 10:21
13857e4
Compare
Choose a tag to compare

Release created on: 27.12.2021 - 10:21:24

New Features

  • Add VSCode settings.
  • Add scheduled/cron CI event.
  • Improved and tested attributes to entities in the ProjectModel (on project, design, fileset and file).

Changes

  • README: update heading levels.
  • Setup: remove redundant classifiers.
  • Update .gitignore.
  • Cleanup doc/Makefile.
  • Merge .coveragerc and pytest.ini into pyproject.toml.
  • Added links to type check and coverage reports from documentation sidebar.
  • Added many doc-strings.
  • Bumped dependencies.
  • Adjusted file headers.

Bug Fixes

  • Job ArtifactCleanUp in CI needs PublishTestResults.
  • Fix linter warnings.
  • Update Codacy target URLs, from manual to gh.

v0.4.0

16 Dec 19:14
736a5dd
Compare
Choose a tag to compare

Release created on: 16.12.2021 - 19:14:40

New Features

  • Publish unit test results (see #36).
  • Add workflow_dispatch event to CI Pipeline.

Changes

  • Update logo, banner and favicon.
  • Documentation:
    • Fall back to alabaster if _theme is not available.
    • Cleanup requirements.txt.
    • Update shields/badges and reorganized them.
    • Add ref to EDAA site through a toctree.
  • README:
    • Reorganized content and updated shields/badges.
  • Using new pipeline workflow based on pyTooling/Actions.
  • Update/rework requirements files.
  • Using pyTooling.Packaging in conf.py and setup.py.
  • Reworked and documented dependencies.
  • Changed decorator export from pydecor to pyTooling.Decorators.
  • Updated dependencies after merging pyTooling.Packaging into pyTooling.
  • Updated workflow after changing Python code packaging to use build.
  • Added pyproject.toml.
  • Using __keywords__ variable for packaging.

Bug Fixes

  • Fixed documentation generation with BuildTheDocs

v0.3.1

01 Nov 18:10
0297043
Compare
Choose a tag to compare

Release created on: 01.11.2021 - 18:10:52

New Features

  • Integrated external HTML reports into documentations sidebar.

Changes

  • Added more code documentation.
  • Added October news.

Bug Fixes

v0.3.0

01 Nov 17:19
3b77fc1
Compare
Choose a tag to compare

Release created on: 01.11.2021 - 17:19:11

New Features

  • Model validation with new Validate() method on Project, Design, Fileset and File.
  • Reading of *.pro files from OSVVM's TCL scripting environment.
    (see #24)
    • TCL if statements are not handled yet.
  • Reading of *.xpr files from Xilinx Vivado contributed by @stefanunrein.
    (see #17)
    • Added TopLevel to FileSet class.
      (see #16)
    • New VivadoFileMixIn.
  • Added Vivado 2021.1 project with XPR file, VHDL files and some XDC files.
    (see #15)
  • First tests for universal attributes.
  • Example code snippet in README is tested via CI.
    (see #12)
  • Implemented __len__ on Project, Design and FileSet.
  • Added AddVHDLLibrary to Design.

Changes

  • Delay publishing of documentation, so coverage and static type checking HTML reports can be published too.
    (see #19)
  • Improved README.

Bug Fixes

  • Renamed imported Path class to pathlib_Path to fix some issues reported by mypy.
  • Fixed resolution of Design in FileSet if a fileset ist nested.
  • Fixed behavior of ResolvedPath.
  • Results from pytest are uncolored. In GH Actions, it must be enforced.
    (see #18)
  • Coverage.py adds a .gitignore to the HTML report outputs.
    (see #23)
    This file needed to be deleted so coverage reports are visible on GH Pages.
  • Fixed job name of downloaded artifact.
  • Fixed dependencies for job 🗑️ Artifact Cleanup, so it cleans up even if no package was published to PyPI.

v0.2.0

17 Oct 02:43
614445b
Compare
Choose a tag to compare

Release created on: 17.10.2021 - 02:43:15

New Features

  • Parse Xilinx Vivado project files (*.xpr) and provide a ProjectModel instance (@stefanunrein).
  • Added __str__ methods.
  • Added DefaultFileSet on design.
  • Made project public on GitHub.
  • Test example code from README via CI job.
  • Added example project (directories and dummy files).
  • Added StopWatch example project for XPR testing from https://github.com/PLC2/Solution-StopWatch
  • Publish coverage report and static typing report to GitHub pages (by @umarcor).
  • Added testing with Python 3.10.

Changes

  • Improved/fixed Resolved... methods.
  • Improved/fixed test cases.
  • Activated colored outputs from pytest.

Bug Fixes

  • Fixed badges in README.

v0.1.0

04 Oct 01:06
77fd434
Compare
Choose a tag to compare

New Features

  • Classes for Project, Design, VHDLLibrary, FileSet, File.
  • pytest based test cases.
  • Sphinx based documentation.

Changes

  • Version enumeration VHDLVersion moved to pyVHDLModel.
  • Version enumerations VerilogVersion and SystemVerilogVersion moved to pySVModel.

Bug Fixes

  • None