Skip to content

iic-jku/jku-tt06-tdc-v2

 
 

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

28 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

Time-to-Digital Converter (TDC)

Copyright 2024 by Harald Pretl, Institute for Integrated Circuits, Johannes Kepler University, Linz, Austria

A TDC is implemented in Verilog and synthesized, with a configurable delay length, and based on two Vernier wavefront delay rings. Based on analog simulation, the time resolution (typical process, room temperature) is on the order of 6ps.

(To put this into perspective: Light travels ca. 1.8mm in 6ps).

The result of the delay line capture of both rings is output directly, without any bubble correction or coding, requiring external post-processing of the result.

About

TDC based on simple inverter ring

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages

  • Verilog 63.5%
  • Tcl 20.5%
  • Makefile 6.8%
  • Python 4.8%
  • Shell 4.4%