Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[LLHD] Replace entity with module #6958

Draft
wants to merge 2 commits into
base: main
Choose a base branch
from

Conversation

maerhart
Copy link
Member

  • use !hw.inout instead of !llhd.sig to make things work with hw.module (since inout types don't allow nested signals)
  • remove the llhd.entity operation and use the hw.module instead. This has two disadvantages: (1) all ports are now inout instead of separated in and out ports, however this was never verified in LLHD anyways and out ports were always more like inout, (2) instance and signal names cannot be verified to be unique within one module anymore
  • the HWToLLHD pass is removed because it only converted hw.module to llhd.entity and hw.instance to llhd.inst. We can potentially implement the ModuleLike interface for ProcOp and get rid of llhd.inst as well.
  • the function inlining pass does not work yet

@maerhart maerhart added the LLHD label Apr 28, 2024
@fabianschuiki
Copy link
Contributor

This is awesome 😍 !

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

2 participants