Skip to content

Fix false issue when passing enum case to generic class #14896

Fix false issue when passing enum case to generic class

Fix false issue when passing enum case to generic class #14896

Result cache E2E tests (cd e2e/result-cache-8 composer install ../../bin/phpstan echo -en '\n' >>...

succeeded Apr 16, 2024 in 16s