Skip to content

Test

Test #266

Workflow file for this run

# Authors:
# Unai Martinez-Corral
#
# Copyright 2021 Unai Martinez-Corral <unai.martinezcorral@ehu.eus>
#
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0
name: Test
on:
pull_request:
push:
schedule:
- cron: '0 0 * * 4'
workflow_dispatch:
repository_dispatch:
types: [ Test ]
env:
DOCKER_BUILDKIT: 1
jobs:
MSYS2:
runs-on: windows-latest
name: '🟦 MINGW64 · LLVM'
defaults:
run:
shell: msys2 {0}
steps:
- name: '🟦 Setup MSYS2'
uses: msys2/setup-msys2@v2
with:
msystem: MINGW64
update: true
- name: '⚙️ git config'
run: git config --global core.autocrlf input
shell: bash
- name: 🧰 Checkout
uses: actions/checkout@v4
- name: 🛠️ Setup EDA tools
run: ./doc/setup.msys2.sh
- name: 🚧 Run GHDL User Guide
run: ./ghdl_ug/vunit_run.py -v
- name: 🚧 Run Control tests
run: ./control/run.py -v
Container:
runs-on: ubuntu-latest
strategy:
fail-fast: false
max-parallel: 2
matrix:
backend:
- mcode
- llvm
name: '🛳️ Container · ${{ matrix.backend }}'
steps:
- name: 🧰 Checkout
uses: actions/checkout@v4
with:
submodules: recursive
- name: 🚧 Run GHDL User Guide
run: ./.github/dockerRun ${{ matrix.backend }} ./ghdl_ug/vunit_run.py -v
- name: 🚧 Run Control tests
run: ./.github/dockerRun ${{ matrix.backend }} ./control/run.py -v