Skip to content

4.5.0

Compare
Choose a tag to compare
@eine eine released this 21 May 14:46
· 373 commits to master since this release
  • Update year and update license test to 2021.
  • Bump OSVVM. (#712)
  • Support Python 3.9.
  • Call supports_coverage() rather than returning method object. (#638)
  • Do not use relpath when printing output file. (#661)
  • Make runner.create_output_path a member of TestRunner class and reanme to _get_output_path. (#682)
  • Update check_stable to handle longer time frames. (#636)
  • Add check_equal for character. (#721, #722)
  • Update .gitignore. (#641)
  • Resolve ambiguity between VUnit's line_vector type and the new standard line_vector type in VHDL-2019. (#664)
  • [Tests] Use str for params to self.check, reduce 'many_keys' to avoid failure with latest GHDL.
  • [Docs] Travis is not used for releases, use autodoc_default_options instead of (deprecated) autodoc_default_flags, fix duplicated content and index of vunit_cli, add intersphinx mapping to docs.python.org, update 'Credits' and 'License', use buildthedocs/sphinx.theme, replace LICENSE.txt with LICENSE.rst, replace README.rst with README.md, move 'Requirements' from 'About' to 'Installing', add captioned toctrees, use admonitions, move CI out from CLI and update content, add blog post on continuous integration, clarify that GHDL is a rolling project. (#694)
  • [Tools] raise exception if git not available when creating release notes.
  • [Example/vhdl/array_axis_vcs] Update, expand procedure run_test, add stall functionality. (#648)
  • [UI] Fix not serializable path when exporting JSON. (#657)
  • [Tox] add pyproject.toml, use isolated_build, merge tox.ini into pyproject.yml.
  • [Setup] Ensure that the source tree is on the sys path.
  • [RivieraPro] Fix coverage merge error. (#675)
  • [RivieraPro] handle empty macro. (#681)
  • [RivieraPro] Update VHDL version option in command line interface to work with version 2020.04 and above. (#664)
  • [VCs] Add null AXI stream master and slave constants.
  • [VCs] Fix bug in AXI stream protocol checker rule 4.
  • [VCs] Add ability to define the actor on new_axi_slave function. (#709)
  • [VCs] Push avalon master read req msg one cycle earlier. (#695, #696)
  • [VCs] Fix broken msg passing in wishbone master. (#692, #693)
  • [CI] Update container registry, use ghcr.io.
  • [CI] Pin Sphinx and docutils version to work around theme issues.