Skip to content

Releases: VUnit/vunit

v0.51.1

26 Jan 17:29
Compare
Choose a tag to compare

Updated links to match new repository location.

v0.51.0

20 Jan 15:42
Compare
Choose a tag to compare
  • Adds modelsim_vcom_flags and modelsim_vlog_flags compile options #97

v0.50.1

11 Jan 17:03
Compare
Choose a tag to compare

Fixed bug in codecs for integer_vectors with min value elements.
Fixed bug in parsing of the used_packages argument to the generate_codecs function.

v0.50.0

07 Jan 16:43
Compare
Choose a tag to compare
  • Makes add_source_file(s) methods raise exception when no files matched.

v0.49.0

23 Dec 08:25
Compare
Choose a tag to compare
  • Adds ghdl_flags compile and simulation option.

v0.48.3

21 Dec 09:50
Compare
Choose a tag to compare
  • Adds dependency scanning of package instantiations.

v0.48.2

20 Dec 08:05
Compare
Choose a tag to compare
  • Fixes issue #90. Conflict with parallel invocation of GHDL using GCC backend.

v0.48.1

14 Dec 06:02
Compare
Choose a tag to compare

Added workaround to make Riviera-PRO 2015.10 pass all test cases.

v0.48.0

12 Dec 23:17
Compare
Choose a tag to compare
  • Added find function to string_ops for finding first substring/character of a string.

v0.47.0

12 Dec 16:18
Compare
Choose a tag to compare
  • Added workaround to support GHDL 0.33
  • Fixed broken test cases for OSVVM integration example