Skip to content

Releases: VUnit/vunit

v0.16.0

30 May 08:40
Compare
Choose a tag to compare
  • Adds VUnitCLI class to enable users to add custom command line arguments.
  • Test results reported in same order they were run also when run_all_in_same_sim
  • Adds support for specific test configuration. Issue #22.

v0.15.0

24 May 04:57
Compare
Choose a tag to compare
  • Improved VHDL parsing performance by caching intermediate results.
  • Improved startup performance of ModelSim interface.
  • Improved --gui flag for ModelSim.
  • Fixed crash with non ascii characters in files.
  • Added message passing package com.
  • Added --elaborate flag to only elaborate test benches without running them.

v0.14.0

30 Mar 22:10
Compare
Choose a tag to compare

Updated OSVVM to version 2015.03

v0.13.0

12 Feb 19:52
Compare
Choose a tag to compare
Adds --gui flag. Closes #16

v0.12.1

06 Feb 19:56
Compare
Choose a tag to compare
Simplified preprocessing code.

Files preprocessed with explicit an empty preprocessing list are no
longer put into the preprocessing folder since no actual preprocessing
occured.

Updated a test in test_ui.py which asserted the undesirable behavior.

v0.12.0

06 Feb 10:34
Compare
Choose a tag to compare
Updated to OSVVM 2015_01.