Skip to content

Releases: VUnit/vunit

v0.26.0

16 Jul 07:52
Compare
Choose a tag to compare
  • Fixed parse error on constant declarations with newlines, issue #59

v0.25.0

08 Jul 16:00
Compare
Choose a tag to compare
  • Fixed issue #58 by making the VHDL parser handle more cases.

v0.24.0

07 Jul 06:25
Compare
Choose a tag to compare
  • More graceful shutdown of ModelSim process under normal circumstances preventing the vish_stacktrace.vstf file from being created
  • Fixed #56 by removing use of TCL 8.5 only list expand operator causing problems for older ModelSim with TCL 8.4
  • .vp file ending recognized as verilog

v0.23.0

17 Jun 14:42
Compare
Choose a tag to compare
  • Fixed dependency scanning bug. Issue #50. Space not accepted between entity and parenthesis of architecture name.

v0.22.0: Adds --exit-0 flag to exit with code 0 even with failed tests but not…

11 Jun 12:41
Compare
Choose a tag to compare
  • Adds --exit-0 flag to exit with code 0 even with failed tests but not when a fatal error occured

v0.21.0

10 Jun 09:39
Compare
Choose a tag to compare
  • Adds support for parallel test execution
  • Improves textual report

v0.20.0

09 Jun 16:45
Compare
Choose a tag to compare
  • Adds dependency scanning support for configurations. Issue #46

v0.19.0

03 Jun 10:16
Compare
Choose a tag to compare
  • Adds support for adding extra flags to vsim command.

v0.18.0

01 Jun 12:16
Compare
Choose a tag to compare
  • Adds support for GHDL.

v0.17.0

30 May 12:05
Compare
Choose a tag to compare
  • Adds support for disabling warnings from IEEE packages.