We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.
By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.
Already on GitHub? Sign in to your account
Describe the bug
The syntax checker rejects properties with the disable iff defined before the sampling event in a property.
To Reproduce
test.sv
module test; logic clk; logic rst; logic a; logic b; // accepted property tp; @(posedge clk) disable iff (rst) a |-> b; endproperty assert property (tp); // rejected property tpr; disable iff (rst) @(posedge clk) a |-> b; endproperty assert property (tpr); assert property (@(posedge clk) disable iff (rst) a|->b); // accepted assert property (disable iff (rst) @(posedge clk) a|->b); // rejected endmodule
verible-verilog-lint test.sv
Actual behavior:
Rejects valid code
$ verible-verilog-lint test.sv test.sv:15:23: syntax error at token "@" test.sv:16:3-13: syntax error at token "endproperty" test.sv:20:38: syntax error at token "@"
Expected behavior
All options above are valid and should be accepted.
The text was updated successfully, but these errors were encountered:
No branches or pull requests
Describe the bug
The syntax checker rejects properties with the disable iff defined before the sampling event in a property.
To Reproduce
test.sv
verible-verilog-lint test.sv
Actual behavior:
Rejects valid code
Expected behavior
All options above are valid and should be accepted.
The text was updated successfully, but these errors were encountered: