Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[Feature request] Signal names in the module instantiation (align brackets and add spaces inside) #2118

Open
alexeykosinov opened this issue Feb 23, 2024 · 0 comments
Labels
formatter Verilog code formatter issues

Comments

@alexeykosinov
Copy link

Test case

    zynq zynq_inst (
        .o_axi_clk (zynq_axi_aclk),
        .o_axi_rstn (zynq_axi_aresetn),
        .o_gpio (zynq_o_gpio),
        .i_gpio (zynq_i_gpio),
        .i_pl_ps_irq (16'd0) 
    );

Actual output

    zynq zynq_inst (
        .o_axi_clk     (zynq_axi_aclk),
        .o_axi_rstn    (zynq_axi_aresetn),
        .o_gpio        (zynq_o_gpio),
        .i_gpio        (zynq_i_gpio),
        .i_pl_ps_irq   (16'd0)
    );

Expected or suggested output

    zynq zynq_inst (
        .o_axi_clk      ( zynq_axi_aclk     ),
        .o_axi_rstn     ( zynq_axi_aresetn  ),
        .o_gpio         ( zynq_o_gpio       ),
        .i_gpio         ( zynq_i_gpio       ),
        .i_pl_ps_irq    ( 16'd0             ) 
    );

I think this will improve the code readability.
So it will be cool if you add this option in the future releases!

Thanks!

@alexeykosinov alexeykosinov added the formatter Verilog code formatter issues label Feb 23, 2024
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
formatter Verilog code formatter issues
Projects
None yet
Development

No branches or pull requests

1 participant