Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

The formatter combines the compiler directives into one line of code #2131

Open
EhsanJokar1 opened this issue Mar 6, 2024 · 0 comments
Open
Labels
formatter Verilog code formatter issues

Comments

@EhsanJokar1
Copy link

EhsanJokar1 commented Mar 6, 2024

The formatter combines these directives into one line.

Test case

 `resetall
 `timescale 1ns / 1ps
 `default_nettype none

Actual output

 `resetall `timescale 1ns / 1ps `default_nettype none

Is this the expected behavior?
If not, how can we prevent this combination.
One solution is using // verilog_format: off and // verilog_format: on. But, is there any way to fix this?

@EhsanJokar1 EhsanJokar1 added the formatter Verilog code formatter issues label Mar 6, 2024
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
formatter Verilog code formatter issues
Projects
None yet
Development

No branches or pull requests

1 participant