Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

There is no syntax highlighting in VS Code #2149

Open
DeflateAwning opened this issue Mar 23, 2024 · 1 comment
Open

There is no syntax highlighting in VS Code #2149

DeflateAwning opened this issue Mar 23, 2024 · 1 comment
Labels
language-server Language server related issues

Comments

@DeflateAwning
Copy link

A couple of questions first

  • Your IDE/editor (e.g. vscode, emacs,...) you use with verible LSP: VS Code
  • IDE version: Latest
  • What other SystemVerilog plugins are active alongside: None

What activity failed
When I open a SystemVerilog file, there is no highlighting/coloring.

Expectation
I was hoping Verible would be my one-stop plugin for Verilog/SystemVerilog development, including syntax highlighting.

What actually happened
There is no highlighting.

Note: it's possible that this is actually a feature request and not a bug report; please advise!

@DeflateAwning DeflateAwning added the language-server Language server related issues label Mar 23, 2024
@hankhsu1996
Copy link

I have a repo dedicated to highlighting SystemVerilog syntax in VS Code, which is still under development. However, it is sufficient for most use cases: https://github.com/hankhsu1996/vscode-better-systemverilog-syntax. This might be useful for the project. However, combining static syntax highlighting with semantic analysis yields the best results. I'm wondering if there are any plans for Verible to implement semantic highlighting?

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
language-server Language server related issues
Projects
None yet
Development

No branches or pull requests

2 participants