Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[VeriblePreProcessor][5]: White-spaces support in "VerilogPreprocess" class. #1376

Open
wants to merge 12 commits into
base: master
Choose a base branch
from

Conversation

karimtera
Copy link
Contributor

NOTE: This is a part of the sequentially splitted PRs from PR #1360.

Description:

  • The preprocessor tool preserves the white-spaces in the SV files.
  • Changed the output of the -generate_variants and -multipe_cu from tokens (enum, text) into normal text.

…o generate all variants with the new mode generate-variants
"verible::CmdPositionalArguments" class only supports these types so far: SV files, +define+<name>[=<value>], and +incdir+<dir>.
- Adding an interface function "AddDefineFromCmdLine" to use the macro added by +define+<foo> argument to "VerilogPreprocess".
- Added a feature to VerilogPreprocess which allows to store paths,
  That can be used later to find the SV file to include.
- The preprocessor tool takes these paths from the user,
  as a +incdir+<path>[+<another_path>] and set then in VerilogPreprocess.
- The included files macros and conditionals can be expanded and evaluated.
- Some limitations exists and were written as TODOs in place,
  need to open issues for these.
- The preprocessor tool preserves the white-spaces in the SV files.
- Changed the output of the -generate_variants and -multipecu from tokens (enum,text) into normal text.
@karimtera karimtera changed the title Pp whitespaces support [VeriblePreProcessor][5]: White-spaces support in "VerilogPreprocess" class. Aug 4, 2022
@hzeller hzeller requested a review from kbieganski May 18, 2023 17:32
@hzeller
Copy link
Collaborator

hzeller commented May 18, 2023

@kbieganski : this is a pull request that @karimtera started in the GSoC time.
Since you're now taking a closer look at the preprocessor, can you have a look at this, revive and finish ?

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

2 participants